1200字范文,内容丰富有趣,写作的好帮手!
1200字范文 > 如何用matlab分析chipscope的数据

如何用matlab分析chipscope的数据

时间:2020-11-17 00:49:34

相关推荐

如何用matlab分析chipscope的数据

用chipscope采集数据

用chipscope采集数据时,为了方便以后导入matlab查看,建议查看采样信号要使用bus总线方式。点击file->export选项,弹出一个export signals窗口,format选择ascii选项,signals toExport选择bus plot buses,core默认就行。点击export按钮,保存为.prn后缀文件。如username.prn.打开matlab软件,我们可以直接打开这个.prn文件进行编辑和查看(如果需要),也可以使用matlab命令xlLoadChipScopeData(‘username.prn’);把之前chipscope导出的bus总线数据导入为malab空间变量,并且空间变量的名字和在chipscope中bus总线对应信号线的名字一样的。信号变量有了,以后就可以方便进行相关信号分析处理了。】

Matlab如何使用“xlLoadChipScopeData”函数

在初次使用“xlLoadChipScopeData”函数的时候会出现出现如下的问题:未定义函数或变量 'xlLoadChipScopeData'。

因为这个函数是Xilinx提供的,所以我们需要自己下载、并将这个函数导入到Matlab库中。(文末有下载链接

解决方法:把新函数(m文件)的目录添加到MATLAB的库目录中。打开MATLAB,点击file->set path(主页->设置路径),将函数加入到路径中。(如下图所示)

重新打开刚刚保存的文件,工作区就会出现chipscope中bus的名称,直接使用就可以了。

关注“时沿科技”,获得更多关于雷达、FPGA、DSP、单片机相关资源分享~~~

“xlLoadChipScopeData”函数下载链接:

链接:/s/1tR2owhoa7sMUpqpRS6rK1Q

提取码:j68g

本内容不代表本网观点和政治立场,如有侵犯你的权益请联系我们处理。
网友评论
网友评论仅供其表达个人看法,并不表明网站立场。