1200字范文,内容丰富有趣,写作的好帮手!
1200字范文 > Origin—在曲线的每个峰值处均使用高斯函数进行拟合

Origin—在曲线的每个峰值处均使用高斯函数进行拟合

时间:2019-04-11 23:28:37

相关推荐

Origin—在曲线的每个峰值处均使用高斯函数进行拟合

文章目录

1、导入数据2、选中B列并创建一个线条图。3、选择拟合使用的函数,如高斯4、选择峰值5、点击拟合并查看结果

---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------

1、导入数据

2、选中B列并创建一个线条图。

3、选择拟合使用的函数,如高斯

在图形窗口处于活动状态时,单击Analysis: Peaks and Baseline: Multiple Peak Fit。这将打开“Multiple Peak Fit”对话框。将“Peak Function”下拉列表设置为“Gauss”,然后单击“确定”。

4、选择峰值

将在图形窗口中打开“Get Points”对话框。请注意,可以在窗口中重新定位此对话框。双击峰值中心将其选中。如下图所示,总共选择7个峰值,包括两个隐藏的峰值:(我感觉只有一个隐藏的峰值啊)

5、点击拟合并查看结果

选择所有七个峰值后,单击“调整”按钮。将向工作簿中添加适合报告。

ref:origin_tutorial_

本内容不代表本网观点和政治立场,如有侵犯你的权益请联系我们处理。
网友评论
网友评论仅供其表达个人看法,并不表明网站立场。